|
You last visited: Today at 07:13
Advertisement
Coders Chit-Chat
Discussion on Coders Chit-Chat within the General Coding forum part of the Coders Den category.
02/17/2018, 12:46
|
#811
|
elite*gold: 12
Join Date: Feb 2014
Posts: 876
Received Thanks: 272
|
Wie gehts wie stehts? Steht bei euch was neues an ?
|
|
|
02/17/2018, 14:00
|
#812
|
elite*gold: 0
Join Date: May 2010
Posts: 6,853
Received Thanks: 5,106
|
Ach, Arbeiten, zuahuse Zeit verbringen, Freunde am Wochenende treffen, was man halt so macht, sonst aber gerade nichts
|
|
|
02/17/2018, 19:23
|
#813
|
Moderator
elite*gold: 0
Join Date: Sep 2010
Posts: 26,051
Received Thanks: 5,932
|
Überlege, ob ich nach den Uni-Klausuren ein neues Projekt wagen sollte. Ist lange her, dass ich was ganz Neues gebaut und veröffentlicht habe. Ansonsten das übliche: Lernen, pausieren und mal Zeit mit Freunden verbringen.
|
|
|
02/18/2018, 11:39
|
#814
|
elite*gold: 0
Join Date: May 2010
Posts: 6,853
Received Thanks: 5,106
|
Welche Art von Projekt denn @ ?
|
|
|
02/18/2018, 20:29
|
#815
|
Moderator
elite*gold: 0
Join Date: Sep 2010
Posts: 26,051
Received Thanks: 5,932
|
Quote:
Originally Posted by Serraniel
Welche Art von Projekt denn @ ?
|
Da ich zurzeit mich an neue Programmiersprachen setze, wollte ich diese mal direkt anwenden. Neben Java, welche ich mehr oder weniger lernen musste wegen der Universität, wollte ich mich selber an C++ setzen und nach C# was größeres wagen.
Die Überlegung wäre eine iOS/Android Anwendung wieder mal zu entwickeln. Xamarin (C#) oder Android Studio (Java) ist hier nur die Frage. Mit Xamarin habe ich bereits Erfahrungen sammeln können, Android Studio wäre noch neu.
|
|
|
02/21/2018, 11:07
|
#816
|
elite*gold: 50
Join Date: Sep 2012
Posts: 3,841
Received Thanks: 1,462
|
Kennt sich hier jemand ein wenig mit webmin aus?
Ich habe das Problem das meine mysql config nicht beachtet wird.
Habe die im ordner etc/mysql/my.cnf verändert.
Gerne auch auf Discord anschreiben: Yato#4552
|
|
|
02/21/2018, 23:32
|
#817
|
Moderator
elite*gold: 0
Join Date: Sep 2010
Posts: 26,051
Received Thanks: 5,932
|
Wenn wir schon bei Fragen sind, würde ich eine andere Richtung mal einschlagen. Kennt sich hier jemand recht gut mit Hardwarebeschreibungen / Simulationen aus? Zum Beispiel mit (System-)Verilog?
|
|
|
02/22/2018, 18:00
|
#818
|
elite*gold: 138
Join Date: Apr 2012
Posts: 3,495
Received Thanks: 1,769
|
Quote:
Originally Posted by .Bench
Wenn wir schon bei Fragen sind, würde ich eine andere Richtung mal einschlagen. Kennt sich hier jemand recht gut mit Hardwarebeschreibungen / Simulationen aus? Zum Beispiel mit (System-)Verilog?
|
Kenne mich (leider ) etwas mit bluespec aus, was auch ne ähnliche HDL ist. Worum gehts denn?
|
|
|
02/22/2018, 18:12
|
#819
|
Moderator
elite*gold: 0
Join Date: Sep 2010
Posts: 26,051
Received Thanks: 5,932
|
Quote:
Originally Posted by Moneypulation
Kenne mich (leider ) etwas mit bluespec aus, was auch ne ähnliche HDL ist. Worum gehts denn?
|
Einige Schwierigkeiten mit komplexen Testbenches in Verilog (mit/ohne Parameter). Bereits einige geschrieben, aber wenn ich mir dann die aus der letzten Vorbereitung anschaue, denke ich mir, dass ich das so nicht in kurzer Zeit gelöst bekommen hätte.
Genauer gesagt geht es um diesen Fall:
Code:
module acc #(parameter WIDTH = 4,
2 parameter DEPTH = 2)
3 (input logic [WIDTH*DEPTH -1:0] O,
4 output logic [WIDTH+$clog2(DEPTH ) -1:0] R);
logic [WIDTH+$clog2(DEPTH ) -2:0] sh , sl;
7 assign R = sh + sl;
8
9 generate
10
11 if (DEPTH > 2) begin
12 acc #(WIDTH , DEPTH /2) ahigh (O[ DEPTH *WIDTH -1:( DEPTH /2)* WIDTH], sh);
13 acc #(WIDTH , DEPTH /2) alow (O[( DEPTH /2)* WIDTH -1: 0 *WIDTH], sl);
14
15
16 end else begin
17 assign sh = O[1* WIDTH +: WIDTH ];
18 assign sl = O[0* WIDTH +: WIDTH ];
19 end
20 endgenerate
21
22 endmodule
Quelle des Codes für die Übung/Vorbereitung: Technische Universität Darmstadt (Name des Profs/Tutoren im Spoiler)
>> Testbench bezüglich dem Code oben:
Code:
`timescale 1 ns / 10 ps
2 module acc_tb;
3
4 localparam WIDTH = 2;
5
6
7 initial begin
8 $dumpfile("acc_tb.vcd");
9 $timeformat(-9, 0, " ns", 8);
10 $dumpvars;
11 #(2**( WIDTH *8)+2);
12 $display("FINISHED acc_tb");
13 $finish;
14 end
15
16
17 genvar n;
18 generate
19 for (n=1; n <=3; n=n+1) begin
20 localparam DEPTH = 2**n;
21
22 // Unit under test
23 logic [WIDTH*DEPTH -1:0] o;
24 logic [WIDTH+n -1:0] r, a;
25 acc #(WIDTH , DEPTH) uut (o, r);
26
27
28 int i,k;
29 initial begin
30 #1 $display("START pass for DEPTH =%0d", DEPTH );
31
32 for (i=0; i <2**( WIDTH*DEPTH ); i++) begin
33 o = i; #1;
34
35
36 a = 0;
37 for (k=0; k<DEPTH; k++) a += o[k*WIDTH +: WIDTH ];
38 if (r!=a) $display("[%0d] %t: expected %4d but got %4d",DEPTH ,$time ,a,r);
39 end
40
41 $display("FINISHED pass for DEPTH =%0d", DEPTH );
42 end
43 end
44 endgenerate
45 endmodule
Quelle des Codes für die Übung/Vorbereitung: Technische Universität Darmstadt (Andreas Engel, Raad Bahmani)
@ Ob Sie mir hierbei behilflich sein können?
|
|
|
03/02/2018, 13:01
|
#820
|
dotCom
elite*gold: 12565
Join Date: Mar 2009
Posts: 16,246
Received Thanks: 4,498
|
@ glaube es antwortet keiner :c
|
|
|
03/06/2018, 23:29
|
#821
|
Moderator
elite*gold: 0
Join Date: Sep 2010
Posts: 26,051
Received Thanks: 5,932
|
@ Scheint so, leider. Ist jetzt eh vorbei
|
|
|
03/07/2018, 11:27
|
#822
|
elite*gold: 0
Join Date: May 2010
Posts: 6,853
Received Thanks: 5,106
|
Alles der @ Schuld der nicht mehr geantwortet hat (╯°□°)╯︵ ┻━┻
|
|
|
03/07/2018, 11:32
|
#823
|
dotCom
elite*gold: 12565
Join Date: Mar 2009
Posts: 16,246
Received Thanks: 4,498
|
Quote:
Originally Posted by Serraniel
Alles der @ polution Schuld der nicht mehr geantwortet hat (╯°□°)╯︵ ┻━┻
|
Glaub die Verlinkung stimmt nicht
|
|
|
03/07/2018, 11:41
|
#824
|
elite*gold: 0
Join Date: May 2010
Posts: 6,853
Received Thanks: 5,106
|
Glaube das kann sehr gut sein @ . Habs gefixt
|
|
|
03/07/2018, 11:52
|
#825
|
dotCom
elite*gold: 12565
Join Date: Mar 2009
Posts: 16,246
Received Thanks: 4,498
|
Quote:
Originally Posted by Serraniel
Glaube das kann sehr gut sein @ . Habs gefixt
|
Top :+1::skin-tone-2:
|
|
|
Similar Threads
|
CO 2 Chit-Chat
04/04/2013 - Conquer Online 2 - 3 Replies
Hello,
You are allowed to talk in this thread about all things belonging to CO2.
It does not matter whether you want to ask something or you just want to talk about CO2.
Posts like "lol" are forbidden!
|
All times are GMT +1. The time now is 07:14.
|
|